AMD EPYC 9374F: Lightning-fast speed with Zen 4 design

Innovative features in Synopsys VCS functional verification enable shift-left verification processes early in the design cycle and excellent performance

The cache capacity of 4th Gen AMD EPYC CPUs with AMD 3D V-Cache technology benefits this workload best

These experiments assessed processor performance under increasing workload scenarios that stressed compute cores and L3 cache

AMD tested Synopsys Fusion Compiler’s synthesis, placement, and routing functions to assess AMD EPYC performance in these major application domains

AMD used 8 processing cores per Synopsys Fusion Compiler instance for synthesis

All processors were given a number of simultaneous jobs equal to the total processor cores divided by 8, resulting in 8 cores per copy of the whole application

The general-purpose 4th Gen AMD EPYC 9654 CPU had the largest generational uplift for this workload